/////////////////////////////////////////////////////////////////////// // // addlatch module // transparent latch stores Address. // // /////////////////////////////////////////////////////////////////////// module addlatch ( Address_bus, Data_bus, ALE ); output [15:0] Address_bus; input [15:0] Data_bus; input ALE; specify specparam tViolate=250; $setuphold(negedge ALE, Data_bus, tViolate, tViolate); $width(posedge ALE, tViolate); $width(negedge ALE, tViolate); endspecify reg [15:0] Address_bus; always_latch if (ALE == 1) Address_bus = Data_bus; endmodule