## This file is a general .xdc for the Nexys4 rev B board ## To use it in a project: ## - uncomment the lines corresponding to used pins ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project ## Clock signal ##Bank = 35, Pin name = IO_L12P_T1_MRCC_35, Sch name = CLK100MHZ set_property PACKAGE_PIN E3 [get_ports CLK] set_property IOSTANDARD LVCMOS33 [get_ports CLK] create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports CLK] ## Switches set_property PACKAGE_PIN P4 [get_ports RESET] set_property IOSTANDARD LVCMOS33 [get_ports RESET] ## LEDs ##Bank = 34, Pin name = IO_L24N_T3_34, Sch name = LED0 set_property PACKAGE_PIN T8 [get_ports {LEDs[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[0]}] ##Bank = 34, Pin name = IO_L21N_T3_DQS_34, Sch name = LED1 set_property PACKAGE_PIN V9 [get_ports {LEDs[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[1]}] ##Bank = 34, Pin name = IO_L24P_T3_34, Sch name = LED2 set_property PACKAGE_PIN R8 [get_ports {LEDs[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[2]}] ##Bank = 34, Pin name = IO_L23N_T3_34, Sch name = LED3 set_property PACKAGE_PIN T6 [get_ports {LEDs[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[3]}] ##Bank = 34, Pin name = IO_L12P_T1_MRCC_34, Sch name = LED4 set_property PACKAGE_PIN T5 [get_ports {LEDs[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[4]}] ##Bank = 34, Pin name = IO_L12N_T1_MRCC_34, Sch name = LED5 set_property PACKAGE_PIN T4 [get_ports {LEDs[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[5]}] ##Bank = 34, Pin name = IO_L22P_T3_34, Sch name = LED6 set_property PACKAGE_PIN U7 [get_ports {LEDs[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[6]}] ##Bank = 34, Pin name = IO_L22N_T3_34, Sch name = LED7 set_property PACKAGE_PIN U6 [get_ports {LEDs[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {LEDs[7]}] ##Bank = 34, Pin name = IO_L15P_T2_DQS_34, Sch name = LED15 set_property PACKAGE_PIN P2 [get_ports LOCKUP] set_property IOSTANDARD LVCMOS33 [get_ports LOCKUP]