ncverilog: 15.10-s002: (c) Copyright 1995-2015 Cadence Design Systems, Inc. TOOL: ncverilog 15.10-s002: Started on Sep 26, 2016 at 15:43:19 BST ncverilog -sv ../TestBench/ahb_system_stim.sv -y ../SVerilogSource +libext+.sv -y ../VerilogSource +libext+.v Recompiling... reason: file '../SVerilogSource/ahb_leds.sv' is newer than expected. expected: Sun Sep 25 23:21:16 2016 actual: Mon Sep 26 15:43:14 2016 file: ../SVerilogSource/ahb_leds.sv module SVerilogSource.ahb_leds:sv errors: 0, warnings: 0 Caching library 'worklib' ....... Done Caching library 'SVerilogSource' ....... Done Caching library 'VerilogSource' ....... Done Elaborating the design hierarchy: ncelab: *W,DSEMEL: This SystemVerilog design will be simulated as per IEEE 1800-2009 SystemVerilog simulation semantics. Use -disable_sem2009 option for turning off SV 2009 simulation semantics. Building instance overlay tables: .................... Done Generating native compiled code: SVerilogSource.ahb_leds:sv <0x340646e8> streams: 13, words: 4857 Building instance specific data structures. Loading native compiled code: .................... Done Design hierarchy summary: Instances Unique Modules: 8 8 Registers: 882 882 Scalar wires: 11131 - Expanded wires: 119 5 Vectored wires: 26 - Always blocks: 850 850 Initial blocks: 2 2 Cont. assignments: 944 11119 Pseudo assignments: 1 1 Writing initial simulation snapshot: worklib.ahb_system_stim:sv Loading snapshot worklib.ahb_system_stim:sv .................... Done ncsim: *W,DSEM2009: This SystemVerilog design is simulated as per IEEE 1800-2009 SystemVerilog simulation semantics. Use -disable_sem2009 option for turning off SV 2009 simulation semantics. ncsim> source /opt/cad/soft/cadence/incisiv/tools/inca/files/ncsimrc ncsim> run LED Update: 3 @ 50250 LED Update: 6 @ 284250 LED Update: 7 @ 313250 LED Update: 5040 @ 796250 LED Update: 42 @ 820250 LED Update: 65493 @ 860250 LED Update: 3 @ 887250 LED Update: 6 @ 1121250 LED Update: 7 @ 1150250 LED Update: 5040 @ 1633250 LED Update: 42 @ 1657250 LED Update: 65493 @ 1697250 LED Update: 3 @ 1724250 LED Update: 6 @ 1958250 LED Update: 7 @ 1987250 LED Update: 5040 @ 2470250 LED Update: 42 @ 2494250 LED Update: 65493 @ 2534250 LED Update: 3 @ 2561250 LED Update: 6 @ 2795250 LED Update: 7 @ 2824250 LED Update: 5040 @ 3307250 LED Update: 42 @ 3331250 LED Update: 65493 @ 3371250 LED Update: 3 @ 3398250 LED Update: 6 @ 3632250 LED Update: 7 @ 3661250 LED Update: 5040 @ 4144250 LED Update: 42 @ 4168250 LED Update: 65493 @ 4208250 LED Update: 3 @ 4235250 LED Update: 6 @ 4469250 LED Update: 7 @ 4498250 LED Update: 5040 @ 4981250 LED Update: 42 @ 5005250 LED Update: 65493 @ 5045250 LED Update: 3 @ 5072250 LED Update: 6 @ 5306250 LED Update: 7 @ 5335250 LED Update: 5040 @ 5818250 LED Update: 42 @ 5842250 LED Update: 65493 @ 5882250 LED Update: 3 @ 5909250 LED Update: 6 @ 6143250 LED Update: 7 @ 6172250 LED Update: 5040 @ 6655250 LED Update: 42 @ 6679250 LED Update: 65493 @ 6719250 LED Update: 3 @ 6746250 LED Update: 6 @ 6980250 LED Update: 7 @ 7009250 LED Update: 5040 @ 7492250 LED Update: 42 @ 7516250 LED Update: 65493 @ 7556250 LED Update: 3 @ 7583250 LED Update: 6 @ 7817250 LED Update: 7 @ 7846250 LED Update: 5040 @ 8329250 LED Update: 42 @ 8353250 LED Update: 65493 @ 8393250 LED Update: 3 @ 8420250 LED Update: 6 @ 8654250 LED Update: 7 @ 8683250 LED Update: 5040 @ 9166250 LED Update: 42 @ 9190250 LED Update: 65493 @ 9230250 LED Update: 3 @ 9257250 LED Update: 6 @ 9491250 LED Update: 7 @ 9520250 LED Update: 5040 @ 10003250 LED Update: 42 @ 10027250 LED Update: 65493 @ 10067250 LED Update: 3 @ 10094250 LED Update: 6 @ 10328250 LED Update: 7 @ 10357250 LED Update: 5040 @ 10840250 LED Update: 42 @ 10864250 LED Update: 65493 @ 10904250 LED Update: 3 @ 10931250 LED Update: 6 @ 11165250 LED Update: 7 @ 11194250 LED Update: 5040 @ 11677250 LED Update: 42 @ 11701250 LED Update: 65493 @ 11741250 LED Update: 3 @ 11768250 LED Update: 6 @ 12002250 LED Update: 7 @ 12031250 LED Update: 5040 @ 12514250 LED Update: 42 @ 12538250 LED Update: 65493 @ 12578250 LED Update: 3 @ 12605250 LED Update: 6 @ 12839250 LED Update: 7 @ 12868250 LED Update: 5040 @ 13351250 LED Update: 42 @ 13375250 LED Update: 65493 @ 13415250 LED Update: 3 @ 13442250 LED Update: 6 @ 13676250 LED Update: 7 @ 13705250 LED Update: 5040 @ 14188250 LED Update: 42 @ 14212250 LED Update: 65493 @ 14252250 LED Update: 3 @ 14279250 LED Update: 6 @ 14513250 LED Update: 7 @ 14542250 LED Update: 5040 @ 15025250 LED Update: 42 @ 15049250 LED Update: 65493 @ 15089250 LED Update: 3 @ 15116250 LED Update: 6 @ 15350250 LED Update: 7 @ 15379250 LED Update: 5040 @ 15862250 LED Update: 42 @ 15886250 LED Update: 65493 @ 15926250 LED Update: 3 @ 15953250 LED Update: 6 @ 16187250 LED Update: 7 @ 16216250 LED Update: 5040 @ 16699250 LED Update: 42 @ 16723250 LED Update: 65493 @ 16763250 LED Update: 3 @ 16790250 LED Update: 6 @ 17024250 LED Update: 7 @ 17053250 LED Update: 5040 @ 17536250 LED Update: 42 @ 17560250 LED Update: 65493 @ 17600250 LED Update: 3 @ 17627250 LED Update: 6 @ 17861250 LED Update: 7 @ 17890250 LED Update: 5040 @ 18373250 LED Update: 42 @ 18397250 LED Update: 65493 @ 18437250 LED Update: 3 @ 18464250 LED Update: 6 @ 18698250 LED Update: 7 @ 18727250 LED Update: 5040 @ 19210250 LED Update: 42 @ 19234250 LED Update: 65493 @ 19274250 LED Update: 3 @ 19301250 LED Update: 6 @ 19535250 LED Update: 7 @ 19564250 LED Update: 5040 @ 20047250 LED Update: 42 @ 20071250 LED Update: 65493 @ 20111250 LED Update: 3 @ 20138250 LED Update: 6 @ 20372250 LED Update: 7 @ 20401250 LED Update: 5040 @ 20884250 LED Update: 42 @ 20908250 LED Update: 65493 @ 20948250 LED Update: 3 @ 20975250 LED Update: 6 @ 21209250 LED Update: 7 @ 21238250 LED Update: 5040 @ 21721250 LED Update: 42 @ 21745250 LED Update: 65493 @ 21785250 LED Update: 3 @ 21812250 LED Update: 6 @ 22046250 LED Update: 7 @ 22075250 LED Update: 5040 @ 22558250 LED Update: 42 @ 22582250 LED Update: 65493 @ 22622250 LED Update: 3 @ 22649250 LED Update: 6 @ 22883250 LED Update: 7 @ 22912250 LED Update: 5040 @ 23395250 LED Update: 42 @ 23419250 LED Update: 65493 @ 23459250 LED Update: 3 @ 23486250 LED Update: 6 @ 23720250 LED Update: 7 @ 23749250 LED Update: 5040 @ 24232250 LED Update: 42 @ 24256250 LED Update: 65493 @ 24296250 LED Update: 3 @ 24323250 LED Update: 6 @ 24557250 LED Update: 7 @ 24586250 LED Update: 5040 @ 25069250 LED Update: 42 @ 25093250 LED Update: 65493 @ 25133250 LED Update: 3 @ 25160250 LED Update: 6 @ 25394250 LED Update: 7 @ 25423250 LED Update: 5040 @ 25906250 LED Update: 42 @ 25930250 LED Update: 65493 @ 25970250 LED Update: 3 @ 25997250 LED Update: 6 @ 26231250 LED Update: 7 @ 26260250 LED Update: 5040 @ 26743250 LED Update: 42 @ 26767250 LED Update: 65493 @ 26807250 LED Update: 3 @ 26834250 LED Update: 6 @ 27068250 LED Update: 7 @ 27097250 LED Update: 5040 @ 27580250 LED Update: 42 @ 27604250 LED Update: 65493 @ 27644250 LED Update: 3 @ 27671250 LED Update: 6 @ 27905250 LED Update: 7 @ 27934250 LED Update: 5040 @ 28417250 LED Update: 42 @ 28441250 LED Update: 65493 @ 28481250 LED Update: 3 @ 28508250 LED Update: 6 @ 28742250 LED Update: 7 @ 28771250 LED Update: 5040 @ 29254250 LED Update: 42 @ 29278250 LED Update: 65493 @ 29318250 LED Update: 3 @ 29345250 LED Update: 6 @ 29579250 LED Update: 7 @ 29608250 LED Update: 5040 @ 30091250 LED Update: 42 @ 30115250 LED Update: 65493 @ 30155250 LED Update: 3 @ 30182250 LED Update: 6 @ 30416250 LED Update: 7 @ 30445250 LED Update: 5040 @ 30928250 LED Update: 42 @ 30952250 LED Update: 65493 @ 30992250 LED Update: 3 @ 31019250 LED Update: 6 @ 31253250 LED Update: 7 @ 31282250 LED Update: 5040 @ 31765250 LED Update: 42 @ 31789250 LED Update: 65493 @ 31829250 LED Update: 3 @ 31856250 LED Update: 6 @ 32090250 LED Update: 7 @ 32119250 LED Update: 5040 @ 32602250 LED Update: 42 @ 32626250 LED Update: 65493 @ 32666250 LED Update: 3 @ 32693250 LED Update: 6 @ 32927250 LED Update: 7 @ 32956250 LED Update: 5040 @ 33439250 LED Update: 42 @ 33463250 LED Update: 65493 @ 33503250 LED Update: 3 @ 33530250 LED Update: 6 @ 33764250 LED Update: 7 @ 33793250 LED Update: 5040 @ 34276250 LED Update: 42 @ 34300250 LED Update: 65493 @ 34340250 LED Update: 3 @ 34367250 LED Update: 6 @ 34601250 LED Update: 7 @ 34630250 LED Update: 5040 @ 35113250 LED Update: 42 @ 35137250 LED Update: 65493 @ 35177250 LED Update: 3 @ 35204250 LED Update: 6 @ 35438250 LED Update: 7 @ 35467250 LED Update: 5040 @ 35950250 LED Update: 42 @ 35974250 LED Update: 65493 @ 36014250 LED Update: 3 @ 36041250 LED Update: 6 @ 36275250 LED Update: 7 @ 36304250 LED Update: 5040 @ 36787250 LED Update: 42 @ 36811250 LED Update: 65493 @ 36851250 LED Update: 3 @ 36878250 LED Update: 6 @ 37112250 LED Update: 7 @ 37141250 LED Update: 5040 @ 37624250 LED Update: 42 @ 37648250 LED Update: 65493 @ 37688250 LED Update: 3 @ 37715250 LED Update: 6 @ 37949250 LED Update: 7 @ 37978250 LED Update: 5040 @ 38461250 LED Update: 42 @ 38485250 LED Update: 65493 @ 38525250 LED Update: 3 @ 38552250 LED Update: 6 @ 38786250 LED Update: 7 @ 38815250 LED Update: 5040 @ 39298250 LED Update: 42 @ 39322250 LED Update: 65493 @ 39362250 LED Update: 3 @ 39389250 LED Update: 6 @ 39623250 LED Update: 7 @ 39652250 LED Update: 5040 @ 40135250 LED Update: 42 @ 40159250 LED Update: 65493 @ 40199250 LED Update: 3 @ 40226250 LED Update: 6 @ 40460250 LED Update: 7 @ 40489250 LED Update: 5040 @ 40972250 LED Update: 42 @ 40996250 LED Update: 65493 @ 41036250 LED Update: 3 @ 41063250 LED Update: 6 @ 41297250 LED Update: 7 @ 41326250 LED Update: 5040 @ 41809250 LED Update: 42 @ 41833250 LED Update: 65493 @ 41873250 LED Update: 3 @ 41900250 LED Update: 6 @ 42134250 LED Update: 7 @ 42163250 LED Update: 5040 @ 42646250 LED Update: 42 @ 42670250 LED Update: 65493 @ 42710250 LED Update: 3 @ 42737250 LED Update: 6 @ 42971250 LED Update: 7 @ 43000250 LED Update: 5040 @ 43483250 LED Update: 42 @ 43507250 LED Update: 65493 @ 43547250 LED Update: 3 @ 43574250 LED Update: 6 @ 43808250 LED Update: 7 @ 43837250 LED Update: 5040 @ 44320250 LED Update: 42 @ 44344250 LED Update: 65493 @ 44384250 LED Update: 3 @ 44411250 LED Update: 6 @ 44645250 LED Update: 7 @ 44674250 LED Update: 5040 @ 45157250 LED Update: 42 @ 45181250 LED Update: 65493 @ 45221250 LED Update: 3 @ 45248250 LED Update: 6 @ 45482250 LED Update: 7 @ 45511250 LED Update: 5040 @ 45994250 LED Update: 42 @ 46018250 LED Update: 65493 @ 46058250 LED Update: 3 @ 46085250 LED Update: 6 @ 46319250 LED Update: 7 @ 46348250 LED Update: 5040 @ 46831250 LED Update: 42 @ 46855250 LED Update: 65493 @ 46895250 LED Update: 3 @ 46922250 LED Update: 6 @ 47156250 LED Update: 7 @ 47185250 LED Update: 5040 @ 47668250 LED Update: 42 @ 47692250 LED Update: 65493 @ 47732250 LED Update: 3 @ 47759250 LED Update: 6 @ 47993250 LED Update: 7 @ 48022250 LED Update: 5040 @ 48505250 LED Update: 42 @ 48529250 LED Update: 65493 @ 48569250 LED Update: 3 @ 48596250 LED Update: 6 @ 48830250 LED Update: 7 @ 48859250 LED Update: 5040 @ 49342250 LED Update: 42 @ 49366250 LED Update: 65493 @ 49406250 LED Update: 3 @ 49433250 LED Update: 6 @ 49667250 LED Update: 7 @ 49696250 LED Update: 5040 @ 50179250 LED Update: 42 @ 50203250 LED Update: 65493 @ 50243250 LED Update: 3 @ 50270250 LED Update: 6 @ 50504250 LED Update: 7 @ 50533250 LED Update: 5040 @ 51016250 LED Update: 42 @ 51040250 LED Update: 65493 @ 51080250 LED Update: 3 @ 51107250 LED Update: 6 @ 51341250 LED Update: 7 @ 51370250 LED Update: 5040 @ 51853250 LED Update: 42 @ 51877250 LED Update: 65493 @ 51917250 LED Update: 3 @ 51944250 Simulation interrupted at 52119250 NS + 1 ncsim> exit TOOL: ncverilog 15.10-s002: Exiting on Sep 26, 2016 at 15:43:32 BST (total: 00:00:13)